Design of VLSI Based Power-Saving Streetlight System and Automatic Traffic Controller with Automatic Transfer Switch

Authors

  • Gooi Zheng Wei
  • Siti Hawa Ruslan UTHM

Keywords:

Automatic Traffic System, Power Saving Streetlight, Automatic Energy Transfer Switch, Logical Synthesis, Physical Synthesis

Abstract

The number of road accidents is increasing due to the power outage of the traffic light system and the streetlight system's maintenance fees was too high. Therefore, a proposed solution that includes automatic traffic light controller, energy-saving streetlight controller and automatic energy transfer switch had been designed to overcome these issues. The proposed solution was designed in Quartus 18 via Verilog Hardware Description Language (HDL) and functional simulation is used to verify the proposed circuit is functioning correctly. The proposed traffic light is on a four-way junction which has one sensor for each direction. This traffic light controller has 3 different conditions which will act differently when all directions had detected vehicle, not detected vehicle and some directions detected vehicle. Then the Verilog HDL files were input to the Synopsys Design Compiler for logical synthesis and IC Compiler for physical synthesis. After both logical and physical synthesis, the design was then analysed in terms of speed, area, and power consumption through the generate report commands. Besides, the proposed energy-saving streetlight was programmed into Full Programmable Gate Array (FPGA) and connected with AC Dimmer Module and LED bulb to measure the power consumption and the reduction of power consumption of the LED bulb. As for the result, the designed chip had a total area of 392.002199 , positive critical timing slack of 0.1 ns and power consumption of 0.1196 mW. The streetlight was able to achieve a reduction in power consumption by 77.87%.

Downloads

Published

03-07-2022

Issue

Section

Articles

How to Cite

Gooi Zheng Wei, & Ruslan, S. H. (2022). Design of VLSI Based Power-Saving Streetlight System and Automatic Traffic Controller with Automatic Transfer Switch. Journal of Electronic Voltage and Application, 3(1), 45-53. https://publisher.uthm.edu.my/ojs/index.php/jeva/article/view/9754